注冊 | 登錄讀書好,好讀書,讀好書!
讀書網-DuShu.com
當前位置: 首頁出版圖書科學技術工業(yè)技術無線電電子學、電信技術模擬集成電路與數字集成電路設計工具實用教程

模擬集成電路與數字集成電路設計工具實用教程

模擬集成電路與數字集成電路設計工具實用教程

定 價:¥65.00

作 者: 韓雁 著
出版社: 電子工業(yè)出版社
叢編項: 微電子與集成電路設計系列規(guī)劃教材
標 簽: 暫缺

購買這本書可以去


ISBN: 9787121320248 出版時間: 2017-08-01 包裝: 平裝
開本: 16開 頁數: 400 字數:  

內容簡介

  本書結合多年的集成電路設計和CAD/EDA工具使用經驗編寫,輔以不同的設計實例和流程,介紹相應的典型工具的使用。本書分為三個部分,共18章。第一部分(第1~5章)是模擬集成電路設計工具及使用,主要內容包括:電路仿真工具軟件使用,設計實例――基準源、噪聲、開關電容設計及驗證,版圖繪制及其工具軟件,版圖驗證與后仿真,設計所需規(guī)則文件的詳細說明。第二部分(第6~13章)是數字集成電路設計工具及使用,主要內容包括:系統(tǒng)級建模與數?;旌戏抡?,數字電路設計與Verilog HDL,硬件描述語言的軟件仿真與FPGA硬件驗證,邏輯綜合與Design Compiler,自動布局布線及Astro,布局布線工具IC Compiler,數字集成電路設計的驗證方法,可測性設計及可測性設計軟件使用。第三部分(第14~18章)是Linux操作系統(tǒng)及其他相關知識,主要內容包括:Linux系統(tǒng)常用命令,Memory Compiler軟件Embed-It Integrator使用方法,數字IC功耗分析工具PTPX使用方法,流片前的Check List,集成電路設計領域常用英文縮略語。本書提供配套電子課件、仿真程序源文件等。本書可以作為微電子及相關專業(yè)的研究生和高年級本科生的集成電路課程的參考教材,也適合于作為集成電路領域的科研人員和工程師的參考資料。

作者簡介

  韓雁:博士、教授、博導。浙江大學微電子與光電子研究所副所長。1982年畢業(yè)于浙大半導體器件專業(yè)。長期從事微電子學與集成電路設計相關領域的教學、科研工作。中國半導體行業(yè)協(xié)會IC分會理事、浙江省電源學會常務理事、半導體行業(yè)協(xié)會常務理事。從事微電子學科及集成電路設計、功率器件設計方向的教學、科研工作,承擔過國家863 IC設計重大專項、國家科技重大專項(核高基)、國家自然科學基金、教育部博士點基金、浙江省自然科學基金、重大橫向課題、海外合作項目在內的近50項科研項目。

圖書目錄

目 錄
第一部分 模擬集成電路設計工具及使用\t1
第1章 電路仿真工具軟件使用\t3
1.1 Cadence電路仿真工具包\t3
1.1.1 Cadence設計環(huán)境簡介\t3
1.1.2 電路圖輸入工具Virtuoso Schematic Composer\t5
1.1.3 仿真環(huán)境工具Analog Design Environment\t6
1.1.4 仿真結果的顯示及處理\t9
1.1.5 建立子模塊\t10
1.1.6 示例(D觸發(fā)器)\t11
1.2 Hspice的使用\t13
1.2.1 Hspice簡介\t13
1.2.2 *.sp文件的生成\t14
1.2.3 Hspice的運行與仿真\t14
1.3 Ultrasim仿真技術\t15
1.3.1 Ultrasim技術簡介\t15
1.3.2 Ultrasim仿真環(huán)境設置\t16
1.4 芯片封裝的建模與帶封裝信息的仿真\t19
1.4.1 Modeling RF IC Packages簡介\t19
1.4.2 PKG的具體使用\t19
第2章 設計實例――基準源、噪聲、開關電容設計及驗證\t24
2.1 電壓基準源設計\t24
2.1.1 電壓基準源簡介\t24
2.1.2 電壓基準源分類\t24
2.1.3 實現(xiàn)帶隙基準源的原理\t25
2.1.4 基準源啟動電路\t26
2.1.5 基準源噪聲\t27
2.1.6 基準源輸出驅動\t27
2.1.7 基準源計算機仿真\t28
2.1.8 基準源的版圖設計\t31
2.2 CMOS集成電路噪聲分析及仿真\t31
2.2.1 噪聲類型\t32
2.2.2 噪聲分析方法\t33
2.2.3 連續(xù)時間系統(tǒng)的噪聲仿真步驟\t34
2.3 開關電容電路仿真\t36
2.3.1 開關電容電路背景知識\t36
2.3.2 開關電容電路的精度\t37
2.3.3 使用雙相無交疊時鐘的開關電容電路的分析方法\t38
2.3.4 開關電容電路的Cadence仿真方法\t39
2.3.5 開關電容電路頻率響應仿真\t40
2.3.6 開關電容電路的噪聲仿真\t42
第3章 版圖繪制及其工具軟件\t49
3.1 典型CMOS工藝流程簡介\t49
3.2 設計規(guī)則簡介\t52
3.3 Virtuoso軟件簡介及使用\t53
3.3.1 Virtuoso軟件啟用\t53
3.3.2 Virtuoso中快捷鍵的使用\t56
3.3.3 反相器版圖繪制舉例\t57
3.3.4 PDK簡介\t59
3.4 Laker軟件簡介及使用\t62
3.4.1 Laker使用時需要的文件\t62
3.4.2 Laker軟件啟用及主窗口\t63
3.4.3 Laker基本的版圖編輯功能介紹\t63
3.4.4 Laker特有的高級版圖編輯功能介紹\t66
3.4.5 原理圖驅動的版圖編輯\t67
3.4.6 設計實例\t68
3.5 版圖設計中的相關主題\t73
3.5.1 天線效應\t73
3.5.2 Dummy的設計\t73
3.5.3 Guard Ring的設計\t75
3.5.4 Match的設計\t76
第4章 版圖驗證與后仿真\t78
4.1 版圖驗證與后仿真簡介\t78
4.2 Diva驗證工具\t79
4.2.1 Diva DRC規(guī)則文件\t79
4.2.2 Diva版圖提取文件\t81
4.2.3 LVS文件的介紹\t83
4.2.4 寄生參數提取文件\t83
4.2.5 Diva的使用\t84
4.3 Calibre驗證工具\t88
4.3.1 Calibre規(guī)則文件\t88
4.3.2 Calibre的用法\t89
4.3.3 數?;旌想娐稬VS的操作方法\t94
第5章 設計所需規(guī)則文件的詳細說明\t96
5.1 完整的Diva DRC、Extract、LVS規(guī)則文件\t96
5.1.1 Diva DRC規(guī)則文件\t96
5.1.2 Diva Extract規(guī)則文件\t97
5.1.3 Diva LVS規(guī)則文件\t98
5.2 Diva 層次處理語句的圖文解釋\t99
5.2.1 邏輯命令\t99
5.2.2 關系命令\t101
5.2.3 選擇命令\t104
5.2.4 尺寸命令\t105
5.2.5 層生成命令\t106
5.2.6 存儲命令\t107
5.3 Diva中DRC和寄生參數提取語句\t107
5.3.1 Diva DRC語句\t107
5.3.2 Diva寄生參數提取語句\t110
第二部分 數字集成電路設計工具及使用\t113
第6章 系統(tǒng)級建模與數模混合仿真\t116
6.1 MATLAB簡介\t117
6.2 MATLAB的Toolboxes\t117
6.2.1 數字信號處理\t118
6.2.2 濾波器設計\t118
6.2.3 Link For ModelSim\t119
6.3 MATLAB的編程\t122
6.4 Simulink仿真基礎\t123
6.4.1 Simulink簡介\t123
6.4.2 Simulink的模塊\t124
6.4.3 Simulink仿真參數的設定\t124
6.4.4 Simulink系統(tǒng)仿真的簡單實例\t125
6.5 Verilog-A簡介\t130
6.6 Verilog-A的編程\t130
6.6.1 基本語法\t131
6.6.2 基本表達式\t132
6.6.3 模擬運算符\t132
6.6.4 Verilog-A仿真\t133
6.7 Verilog-A建模實例\t133
6.7.1 反相器\t133
6.7.2 利用Cadence中的向導產生模擬模塊\t136
6.8 SpectreVerilog混合信號仿真\t137
6.8.1 SpectreVerilog仿真簡介\t137
6.8.2 創(chuàng)建模擬模塊\t137
6.8.3 創(chuàng)建數字模塊\t138
6.8.4 設置仿真配置文件\t138
6.8.5 設置和檢查模塊劃分\t139
6.8.6 設置數模接口\t141
6.8.7 設置仿真菜單及仿真結果\t141
第7章 數字電路設計與Verilog HDL\t143
7.1 HDL設計方法學簡介\t143
7.1.1 數字電路設計方法\t143
7.1.2 硬件描述語言\t143
7.1.3 設計方法學\t144
7.1.4 Verilog HDL簡介\t144
7.2 Verilog HDL建模概述\t146
7.2.1 模塊\t146
7.2.2 時延\t148
7.2.3 三種建模方式\t148
7.3 Verilog HDL基本語法\t151
7.3.1 標識符\t151
7.3.2 注釋\t152
7.3.3 格式\t152
7.3.4 數字值集合\t152
7.3.5 數據類型\t154
7.3.6 運算符及表達式\t155
7.3.7 條件語句\t159
7.3.8 case語句\t160
7.4 結構建模\t161
7.4.1 模塊定義\t161
7.4.2 模塊端口\t161
7.4.3 實例化語句\t161
7.5 數據流建模\t162
7.5.1 連續(xù)賦值語句\t163
7.5.2 阻塞賦值語句\t163
7.5.3 非阻塞賦值語句\t164
7.5.4 數據流建模具體實例\t165
7.6 行為建模\t166
7.6.1 簡介\t166
7.6.2 順序語句塊\t166
7.6.3 過程賦值語句\t166
7.7 可綜合設計\t168
7.7.1 設計準則\t168
7.7.2 進程劃分準則\t169
7.7.3 可綜合子集\t169
7.7.4 可綜合設計中的組合電路設計\t169
7.7.5 可綜合設計中的時序電路設計\t169
第8章 硬件描述語言的軟件仿真與FPGA硬件驗證\t170
8.1 ModelSim的使用\t170
8.1.1 ModelSim的啟動\t170
8.1.2 ModelSim仿真流程\t171
8.1.3 編譯工藝資源庫\t173
8.1.4 調試\t173
8.1.5 ModelSim仿真小結\t174
8.2 NC-Verilog的使用\t174
8.2.1 ncvlog命令\t174
8.2.2 ncelab命令\t176
8.2.3 ncsim命令\t177
8.2.4 NC-Verilog仿真小結\t178
8.3 用Debussy調試仿真結果\t178
8.4 HDL仿真總結\t180
8.5 FPGA硬件驗證\t180
8.5.1 FPGA基本組成\t180
8.5.2 FPGA設計流程\t181
8.5.3 FPGA下載配置\t184
第9章 邏輯綜合與Design Compiler\t191
9.1 邏輯綜合綜述\t191
9.2 用Design Compiler綜合電路\t192
9.2.1 Design Analyzer的啟動\t193
9.2.2 設計讀入\t194
9.2.3 鏈接\t196
9.2.4 實例唯一化\t197
9.2.5 設計環(huán)境\t197
9.2.6 設計約束\t202
9.2.7 設計的邏輯綜合\t206
9.2.8 邏輯綜合結果的分析\t207
9.2.9 邏輯綜合結果保存\t209
9.2.10 時序約束文件的導出\t210
9.3 Synplify的使用方法\t210
9.3.1 Synplify概述\t210
9.3.2 Synplify設計流程\t210
9.3.3 Synplify文件類型總結\t213
9.4 邏輯綜合總結\t213
第10章 自動布局布線及Astro\t214
10.1 Astro簡介\t214
10.2 數據準備\t214
10.2.1 庫文件\t214
10.2.2 工藝文件\t217
10.2.3 設計文件\t217
10.3 利用Astro進行布局布線的設計流程\t218
10.3.1 工具啟動\t219
10.3.2 創(chuàng)建設計庫\t219
10.3.3 讀入網表文件\t220
10.3.4 打開設計庫和設計單元\t221
10.3.5 布圖規(guī)劃\t222
10.3.6 布局\t229
10.3.7 時鐘樹綜合\t235
10.3.8 布線前的電源/地線檢查\t240
10.3.9 布線\t240
10.3.10 可制造性設計處理\t246
10.3.11 版圖驗證\t246
10.3.12 數據輸出\t247
第11章 布局布線工具IC Compiler\t249
11.1 IC Compiler簡介\t249
11.2 ICC后端設計須知\t250
11.2.1 后端設計中常用文件的格式說明\t250
11.2.2 I/O庫與標準單元庫中的特殊單元\t251
11.2.3 ICC中的靜態(tài)時序分析\t252
11.2.4 ICC中的MCMM\t256
11.3 利用ICC進行布局布線的設計流程\t258
11.3.1 設計準備\t258
11.3.2 布圖規(guī)劃\t265
11.3.3 布局\t276
11.3.4 時鐘樹綜合\t279
11.3.5 布線\t286
11.3.6 DFM(可制造性設計)\t289
11.3.7 版圖驗證\t295
11.3.8 數據輸出\t296
11.3.9 ECO\t297
第12章 數字集成電路設計的驗證方法\t299
12.1 OVM驗證方法學介紹\t299
12.2 驗證工具QuestaSim軟件介紹\t302
12.3 使用OVM搭建驗證環(huán)境\t307
12.4 隨機驗證\t315
12.5 形式驗證及Formality軟件使用方法\t318
12.5.1 設置Reference Design\t320
12.5.2 設置Implementation Design\t322
12.5.3 設置環(huán)境\t323
12.5.4 Match\t324
12.5.5 Verify\t324
12.5.6 Debug\t325
12.5.7 形式驗證中所用的腳本及代碼\t325
12.6 靜態(tài)時序驗證及PrimeTime軟件使用方法\t328
12.6.1 靜態(tài)時序驗證\t328
12.6.2 靜態(tài)時序分析原理\t329
12.6.3 基于PrimeTime的靜態(tài)時序分析\t330
第13章 可測性設計及可測性設計軟件使用\t336
13.1 可測性設計基礎\t336
13.1.1 測試\t336
13.1.2 可測性設計\t336
13.1.3 故障模型\t336
13.1.4 自動測試矢量生成\t338
13.1.5 可測性設計的常用方法\t338
13.2 使用DFTC進行可測性設計\t340
13.2.1 Synopsys的DFT流程\t340
13.2.2 DFT掃描鏈插入\t342
13.2.3 Synopsys Adaptive Scan壓縮\t344
13.3 使用TetraMAX進行ATPG生成\t344
13.3.1 TetraMAX的圖形界面\t344
13.3.2 TetraMAX的基本流程\t345
13.3.3 ATPG測試向量生成\t346
13.4 DFT設計實例\t348
13.4.1 設計代碼編寫\t348
13.4.2 綜合并插入掃描鏈的過程\t349
13.4.3 ATPG自動測試矢量生成\t351
第三部分 Linux操作系統(tǒng)及其他相關知識\t356
第14章 Linux系統(tǒng)常用命令\t357
14.1 服務器基本操作\t357
14.2 Linux文件名稱\t358
14.3 Linux文件存取權限\t358
14.4 Linux文件系統(tǒng)常用命令\t359
14.5 程序進程\t364
14.6 vi的使用\t365
第15章 Memory Compiler軟件Embed-It Integrator使用方法\t367
第16章 數字IC功耗分析工具PTPX使用方法\t373
16.1 PTPX中的功耗分析技術\t373
16.2 PTPX功耗分析所需的文件\t373
16.3 PTPX中的功耗分析模式及功耗分析流程\t374
16.3.1 平均功耗分析模式\t375
16.3.2 無矢量功耗分析模式\t375
16.3.3 基于時間的功耗分析模式\t376
16.3.4 PTPX功耗分析報告\t377
第17章 流片前的Check List\t379
17.1 檢查事項\t379
17.2 特殊的Cell和Ring的說明\t381
第18章 集成電路設計領域常用英文縮略語\t386
參考文獻\t390

本目錄推薦

掃描二維碼
Copyright ? 讀書網 ranfinancial.com 2005-2020, All Rights Reserved.
鄂ICP備15019699號 鄂公網安備 42010302001612號